您当前所在位置: > 爆料站 > 发号福利

曝苹果Intel率先采用台积电3nm技术

时间:2021-07-07 22:38:34  来源:  作者:网络转载

  今年6月初,台积电CEO魏哲家在线上技术动向说明会上透露了年内将建成2nm芯片试生产线计划,此举将进一步扩大台积电在尖端半导体工艺的领先优势。此外,台积电3nm芯片将于2022年下半年启动量产。

  目前, 在台积电量产的半导体工艺中,性能最好的是5nm,目前已经被iPhone 12等旗舰手机芯片采用。

  据日经最新报道, 苹果和Intel正在测试台积电新一代制程技术,二者将成为台积电3nm的首批客户,最快芯片产出时间预计在明年下半年至后年初。

  首先采用3nm工艺的苹果产品将是iPad, 至于明年上市的新一代iPhone由于量产日程的原因,将暂时无缘。

  据了解,英特尔则至少开了两个以上的3nm案子,包括个人计算机及数据中心的CPU。

  众所周知,工艺制程越先进,芯片性能越好,单位面积所产生功耗越低,但随之而来的是,制造难度和成本也加倍提升。

  据了解,台积电3nm与目前的5nm相比,性能提升10-15%,功耗降低25-30%。

2

  【来源:快科技】【作者:朝晖】

资源转载网络,如有侵权联系删除。
相关下载

玩家评论

重回台积电代工:高通骁龙895+将采用台积电4nm

  爆料大神 evleaks 此前放出了高通骁龙 888 继任者(代号 SM8450)芯片的详细情报。据称,这款旗舰平台将基于 4nm 工艺打造,然而业界对代工方却众说纷纭。  不过大部分人都详情>>

阅读: 1
日期: 2021-07-05
IBM首发2nm工艺专家:动摇不了台积电

上周 IBM 宣布全球首发 2nm 工艺, 指甲盖大小的芯片就集成了 500 亿晶体管 ,相比 7nm 工艺提升了 45% 的性能或者减少 75% 的功耗,预计 2024 年量产。详情>>

阅读: 3
日期: 2021-05-10
台积电宣布中止一切降价!工厂100%满载

  据报道,台积电在一份致客户的函件中公布了两项重要决定。  首先是 从今年12月31日起,暂停对晶圆价格的常规性下调,为期至少四个季度。 此举非常罕见,因为台积电通常在详情>>

阅读: 2
日期: 2021-04-01
传12英寸晶圆要涨价,台积电:不评论价格问题

  据台湾中央通讯社报道,此前有消息人士称,台积电 12 英寸晶圆将 从今年 4 月开始调涨价格,每片约涨 400 美元 (约新台币 1.14 万元),且将 逐季调涨 。  台积电对此回应详情>>

阅读: 1
日期: 2021-03-29
ASML一锤定音:Intel7nm水平高、相当于台积电5nm

  半导体进入7nm时代后,荷兰ASML(阿斯麦)的EUV光刻机成了不可或缺的香饽饽,当然,从进度上来看,台积电、三星进度居前。  日前与媒体交流时,ASML技术开发副总裁Tony Yen确认,虽详情>>

阅读: 2
日期: 2021-03-17
台媒报道英特尔委托台积电代工:全世界都要台积电

  据路透社报道,英特尔计划委托台积电生产用于个人电脑的第二代独立显卡,希望藉此对抗英伟达的崛起。这款名为“DG2”的芯片将采用台积电的一种新的芯片制造工详情>>

阅读: 4
日期: 2021-01-13
台积电狂砸至少200亿美元:全力推进3nm工艺!

  对于芯片代工龙头,台积电正在加大自己的研发费用,从而获得更领先的优势。  据外媒报道称, 晶圆代工龙头台积电2020年营收同比增长超过30%,创下历史新高,同时资本开支1详情>>

阅读: 6
日期: 2021-01-04
苹果独大:台积电5nm工艺现在被矿机看上了

  在先进工艺上,台积电可以说是天字一号晶圆代工厂了,7nm工艺领先别家两年量产,5nm工艺今年也抢到了苹果、华为两个大客户,尽管华为9月份之后就被迫退出了。  目前台积电详情>>

阅读: 5
日期: 2020-12-23
台积电宣布2023年投产3nmPlus工艺:苹果首发

  台积电在新工艺方面真是犹如一头猛兽,无可阻挡(当然取消优惠也拦不住),今年已经量产5nm工艺,而接下来的重大节点就是3nm,早已宣布会在2022年投入规模量产。  近日,台积电详情>>

阅读: 3
日期: 2020-12-18
台积电订单爆多:A14/M1/Zen2/RDNA2供货量曝光

  之前有消息称台积电5nm、7nm订单非常的多,到底有多少呢?  现在,有供应链就给出了截至到今年年底台积电5nm、7nm订单的情况,其中可以看到5nm的主要供货量还是苹果,而7nm则详情>>

阅读: 3
日期: 2020-12-02
台积电5nm晶圆每片成本约1.7万美元,远超7nm

  据DigiTimes 报道,台积电 5nm 使用了先进的技术与特殊处理,预计晶圆成本将相当昂贵。根据美国 CSET 计算,以 5nm 计数器制造的 12 吋晶圆成本约 1.6988 万美元,远高于 7nm详情>>

阅读: 9
日期: 2020-09-25
厉害了!台积电2nm工艺研发进展超预期

  9 月 22 日消息,据国外媒体报道,在 5nm 工艺今年一季度投产,为苹果等客户代工最新的处理器之后,芯片代工商 台积电下一步的工艺研发重点就将是更先进的 3nm 和 2nm 工艺 详情>>

阅读: 6
日期: 2020-09-22
台积电透露Graphcore下一代IPU将基于3nm工艺研发

  据国外媒体报道,5nm工艺在今年一季度投产之后,台积电下一代工艺研发的重点已转移到了3nm,目前正在按计划推进,计划在2021年风险试产,2022年下半年大规模投产。   在2020详情>>

阅读: 7
日期: 2020-08-28
台积电介绍12-Hi3D堆叠工艺欲将SoIC推向新的极限

  近年来,随着芯片制程缩减的减速,制造商开始依托于更加高级的堆叠、互连和封装技术。此外在从水平向 3D 堆叠推进的同时,芯片的功耗、散热和设计复杂度也在飞速提升。 在详情>>

阅读: 13
日期: 2020-08-26
台积电2019年耗电143.3亿度宣布加入RE100倡议

  全球最大芯片代工厂台积电,一年耗电量是多少?根据台积电企业社会责任报告书,2019年,包括台湾厂区、WaferTech、台积电(中国)、台积电(南京)、采钰公司,台积电全球能源消耗量为1详情>>

阅读: 9
日期: 2020-08-21
外媒:晶圆材料及设备供应商已收到台积电大量订单

  据国外媒体报道,在芯片工艺方面走在行业前列的代工商台积电,今年上半年的业绩同比明显大增,在众多行业都受到影响的情况下,他们受到的影响并不明显。   台积电上半年业详情>>

阅读: 10
日期: 2020-08-04
努力追赶台积电!中芯国际宣布全力发展28nm工艺

(原标题:努力追赶台积电!中芯国际宣布全力发展28nm工艺) 详情>>

阅读: 7
日期: 2020-08-02
日本计划邀请台积电共建芯片制造厂台积电如此回应

  据国外媒体报道,日本计划邀请台积电或其它芯片厂商与国内芯片设备供应商共同建造一家先进的芯片制造工厂。   日本政府计划未来数年向参加该计划的海外芯片生产商提详情>>

阅读: 7
日期: 2020-07-20
台积电研发费用去年增长4%研发组人数增5%达6534人

  据媒体报道,台积电近日发布报告,公布了该公司去年研发方面的相关数据。   台积电去年全年研发费用为29亿5,900万美元,较前一年增长约4%,约占总营收8.5%。  台积电研发详情>>

阅读: 5
日期: 2020-06-28
欲募资200亿,中芯能否缩小与台积电差距?

(原标题:节点落后台积电2-3代的中芯国际,科创板募资200亿能否缩小差距?) 详情>>

阅读: 6
日期: 2020-06-04
三星放言将赶超台积电,台积电回应称:有信心技术上持续领先三星

原标题:三星放言将赶超台积电,台积电回应称:有信心技术上持续领先三星 5月22日消息,三星近日宣布将投资新的5nm工艺生产线,只为在不久后赶超竞争对手台详情>>

阅读: 10
日期: 2020-05-22
台积电120亿美元在美国建厂

原标题:台积电120亿美元在美国建厂 5月15日,台积电宣布将投资120亿美元在美国亚利桑那州建造一座先进芯片工厂,将生产最精密的5nm芯片,并且预计创造16详情>>

阅读: 7
日期: 2020-05-19
台积电停止接受华为新订单?路透社引述台积电回应:相关报道“纯属市场传言”

原标题:台积电停止接受华为新订单?路透社引述台积电回应:相关报道“纯属市场传言” 【环球网报道 记者 尹艳辉 朱梦颖】日本经济新闻18日早些时候援详情>>

阅读: 14
日期: 2020-05-18
台积电停止接收华为的新订单?台积电回应:纯属市场传言

原标题:台积电停止接收华为的新订单? 台积电回应:纯属市场传言 5月18日消息,针对有关台积电不再接华为新订单的报道,台积电回应称其不会披露订单细节,并详情>>

阅读: 9
日期: 2020-05-18
台积电宣布投资120亿美元在美国设立新厂

据路透社报道,台积电(TSMC)本周五宣布,该公司将在美国亚利桑那州投资120亿美元设立一家芯片工厂,该公司称此举是基于与美国政府的“牢固合作伙伴关系”。 台积电做出详情>>

阅读: 16
日期: 2020-05-15
5G手机即将占领市场?台积电乐观预测明年将售出3亿部

原标题:5G手机即将占领市场?台积电乐观预测明年将售出3亿部 10月17日消息,gsmarena报道,由于5G调制解调器订单使台积电(TSMC)的7纳米晶圆厂保持满负荷运详情>>

阅读: 14
日期: 2019-10-17
台积电全球市占率50.5%保持第1,中芯国际第5

原标题:台积电全球市占率50.5%保持第1,中芯国际第5 转自半导体投资联盟 据研调机构集邦旗下拓墣产业研究院统计,随时序进入传统电子产业旺季,市场对半详情>>

阅读: 9
日期: 2019-09-04
台积电否认侵权格芯:将积极应诉,以一切可能的方法反击

原标题:台积电否认侵权格芯:将积极应诉,以一切可能的方法反击 8月27日,针对美国芯片制造商Globalfoundries(格芯/格罗方德)向台积电发起25项专利侵权诉详情>>

阅读: 26
日期: 2019-08-27
台积电回应侵权诉讼:毫无根据,将反击以保护专有技术_Global

原标题:台积电回应侵权诉讼:毫无根据,将反击以保护专有技术 中新经纬客户端8月27日电 苹果公司供应商台积电官网27日发布声明,回应美国芯片制造商Glob详情>>

阅读: 14
日期: 2019-08-27
精彩推荐
相关专题