您当前所在位置: > 爆料站 > 发号福利

台积电狂砸至少200亿美元:全力推进3nm工艺!

时间:2021-01-04 18:20:03  来源:  作者:网络转载

  对于芯片代工龙头,台积电正在加大自己的研发费用,从而获得更领先的优势。

  据外媒报道称, 晶圆代工龙头台积电2020年营收同比增长超过30%,创下历史新高,同时资本开支170亿美元,也创下历史新高。

  报道中还提到,台积电预计2021年随着3nm产能建设,以及美国5nm工厂制造,资本开支将超过200亿美元。

  最新的调查中还显示,在疫情导致对居家办公和娱乐设备需求增加、5G智能手机大量推出、5G基站大规模建设等的推动下,全球芯片代工市场在2020年大幅增长,研究机构预计规模达到了846.52亿美元,同比增长率高达23.7%。

  对于2021年,研究机构预计全球芯片代工市场的规模仍将继续增长,但同比增长率较2020年将明显放缓。

  之前有消息称,台积电正在筹集更多的资金,为的是向ASML购买更多更先进制程的EUV光刻机,而这些都是为了新制程做准备。

  据悉, 台积电在材料上的研究,也让1nm成为可能。台积电和交大联手,开发出全球最薄、厚度只有0.7纳米的超薄二维半导体材料绝缘体,可望借此进一步开发出2纳米甚至1纳米的电晶体通道。

  此外,台积电正为2nm之后的先进制程持续觅地,包含桥头科、路竹科,均在台积电评估中长期投资设厂的考量之列。

芯片

  【来源:快科技】【作者:雪花】

资源转载网络,如有侵权联系删除。
相关下载

玩家评论

苹果独大:台积电5nm工艺现在被矿机看上了

  在先进工艺上,台积电可以说是天字一号晶圆代工厂了,7nm工艺领先别家两年量产,5nm工艺今年也抢到了苹果、华为两个大客户,尽管华为9月份之后就被迫退出了。  目前台积电详情>>

阅读: 3
日期: 2020-12-23
台积电宣布2023年投产3nmPlus工艺:苹果首发

  台积电在新工艺方面真是犹如一头猛兽,无可阻挡(当然取消优惠也拦不住),今年已经量产5nm工艺,而接下来的重大节点就是3nm,早已宣布会在2022年投入规模量产。  近日,台积电详情>>

阅读: 2
日期: 2020-12-18
台积电订单爆多:A14/M1/Zen2/RDNA2供货量曝光

  之前有消息称台积电5nm、7nm订单非常的多,到底有多少呢?  现在,有供应链就给出了截至到今年年底台积电5nm、7nm订单的情况,其中可以看到5nm的主要供货量还是苹果,而7nm则详情>>

阅读: 1
日期: 2020-12-02
台媒:四客户大举追单,台积电5nm、7nm满载

  11 月 16 日消息,据台媒报道,台积电四大主力客户苹果、高通、英伟达、联发科近期大举追单提高备货量,台积电 5 纳米、7 纳米先进制程产能满载。   据报道,台积电因应 5 详情>>

阅读: 1
日期: 2020-11-16
台积电5nm晶圆每片成本约1.7万美元,远超7nm

  据DigiTimes 报道,台积电 5nm 使用了先进的技术与特殊处理,预计晶圆成本将相当昂贵。根据美国 CSET 计算,以 5nm 计数器制造的 12 吋晶圆成本约 1.6988 万美元,远高于 7nm详情>>

阅读: 6
日期: 2020-09-25
台积电透露Graphcore下一代IPU将基于3nm工艺研发

  据国外媒体报道,5nm工艺在今年一季度投产之后,台积电下一代工艺研发的重点已转移到了3nm,目前正在按计划推进,计划在2021年风险试产,2022年下半年大规模投产。   在2020详情>>

阅读: 4
日期: 2020-08-28
台积电介绍12-Hi3D堆叠工艺欲将SoIC推向新的极限

  近年来,随着芯片制程缩减的减速,制造商开始依托于更加高级的堆叠、互连和封装技术。此外在从水平向 3D 堆叠推进的同时,芯片的功耗、散热和设计复杂度也在飞速提升。 在详情>>

阅读: 12
日期: 2020-08-26
台积电2019年耗电143.3亿度宣布加入RE100倡议

  全球最大芯片代工厂台积电,一年耗电量是多少?根据台积电企业社会责任报告书,2019年,包括台湾厂区、WaferTech、台积电(中国)、台积电(南京)、采钰公司,台积电全球能源消耗量为1详情>>

阅读: 8
日期: 2020-08-21
被日媒点名参与收购ARM台积电回应:没有投资计划

最近日本软银公司要出售旗下的 ARM 芯片设计公司的消息在半导体行业走红,外媒也多次报道苹果、三星、 NVIDIA 等公司有意收购 ARM 的消息,不过三星已经否认。 详情>>

阅读: 11
日期: 2020-08-07
外媒:晶圆材料及设备供应商已收到台积电大量订单

  据国外媒体报道,在芯片工艺方面走在行业前列的代工商台积电,今年上半年的业绩同比明显大增,在众多行业都受到影响的情况下,他们受到的影响并不明显。   台积电上半年业详情>>

阅读: 7
日期: 2020-08-04
日本计划邀请台积电共建芯片制造厂台积电如此回应

  据国外媒体报道,日本计划邀请台积电或其它芯片厂商与国内芯片设备供应商共同建造一家先进的芯片制造工厂。   日本政府计划未来数年向参加该计划的海外芯片生产商提详情>>

阅读: 5
日期: 2020-07-20
台积电研发费用去年增长4%研发组人数增5%达6534人

  据媒体报道,台积电近日发布报告,公布了该公司去年研发方面的相关数据。   台积电去年全年研发费用为29亿5,900万美元,较前一年增长约4%,约占总营收8.5%。  台积电研发详情>>

阅读: 3
日期: 2020-06-28
欲募资200亿,中芯能否缩小与台积电差距?

(原标题:节点落后台积电2-3代的中芯国际,科创板募资200亿能否缩小差距?) 详情>>

阅读: 2
日期: 2020-06-04
3nm工艺延期半年?台积电否认:一切正常

(原标题:美国打压华为,致3nm工艺延期半年?台积电否认:一切正常) 详情>>

阅读: 5
日期: 2020-06-01
三星放言将赶超台积电,台积电回应称:有信心技术上持续领先三星

原标题:三星放言将赶超台积电,台积电回应称:有信心技术上持续领先三星 5月22日消息,三星近日宣布将投资新的5nm工艺生产线,只为在不久后赶超竞争对手台详情>>

阅读: 9
日期: 2020-05-22
台积电停止接受华为新订单?路透社引述台积电回应:相关报道“纯属市场传言”

原标题:台积电停止接受华为新订单?路透社引述台积电回应:相关报道“纯属市场传言” 【环球网报道 记者 尹艳辉 朱梦颖】日本经济新闻18日早些时候援详情>>

阅读: 13
日期: 2020-05-18
台积电停止接收华为的新订单?台积电回应:纯属市场传言

原标题:台积电停止接收华为的新订单? 台积电回应:纯属市场传言 5月18日消息,针对有关台积电不再接华为新订单的报道,台积电回应称其不会披露订单细节,并详情>>

阅读: 9
日期: 2020-05-18
台积电宣布投资120亿美元在美国设立新厂

据路透社报道,台积电(TSMC)本周五宣布,该公司将在美国亚利桑那州投资120亿美元设立一家芯片工厂,该公司称此举是基于与美国政府的“牢固合作伙伴关系”。 台积电做出详情>>

阅读: 10
日期: 2020-05-15
台积电宣布员工加薪3%至5%

原标题:台积电宣布员工加薪3% 至5% PingWest品玩5月2日讯,据台湾联合新闻网报道,台积电近日宣布,要为员工加薪3% 至5% 。 其中硕士研究生新员工入职月详情>>

阅读: 3
日期: 2020-05-02
5G手机即将占领市场?台积电乐观预测明年将售出3亿部

原标题:5G手机即将占领市场?台积电乐观预测明年将售出3亿部 10月17日消息,gsmarena报道,由于5G调制解调器订单使台积电(TSMC)的7纳米晶圆厂保持满负荷运详情>>

阅读: 12
日期: 2019-10-17
台积电否认侵权格芯:将积极应诉,以一切可能的方法反击

原标题:台积电否认侵权格芯:将积极应诉,以一切可能的方法反击 8月27日,针对美国芯片制造商Globalfoundries(格芯/格罗方德)向台积电发起25项专利侵权诉详情>>

阅读: 23
日期: 2019-08-27
台积电回应侵权诉讼:毫无根据,将反击以保护专有技术_Global

原标题:台积电回应侵权诉讼:毫无根据,将反击以保护专有技术 中新经纬客户端8月27日电 苹果公司供应商台积电官网27日发布声明,回应美国芯片制造商Glob详情>>

阅读: 11
日期: 2019-08-27
精彩推荐
相关专题